Simulators

1. NoC simulators
Here is a list of open-source (code available) NoC simulators. It is not intended to be complete. If you have or know of other NoC simulators, which you would like to be included in this list let us know.

Simulator Lang. Characteristics
Booksim , 2002-2010, Stanford C++ Topo: 2D mesh, torus, trees, etc.; Traffic: uniform, transpose, etc.;
WormSim, 2005, CMU C++ Topo: 2D mesh, torus; Traffic: built-in; Power: Ebit, Orion 1; No multiple VCs support;
NOC Simulator, 2007, Universidad de Las Palmas de Gran Canaria C++ Topo: 2D mesh, torus, folded-torus;
VNOC 2.0, 2009-2014, Marquette University C++ Topo: 2D mesh, torus; Traffic: uniform, transpose, hotspot, selfsimilar; Power: Orion 2; GUI: simple; Freq. throttle and freq. boost based DVFS
Matrics, 2011, Technion C++ Routers: synchronous, asynchronous; Built on top of OMNet++
Sicosys, 2008, University of Cantabria, Spain C++
TOPAZ, 2012, University of Cantabria, Spain C++ derived from Sicosys; 50K lines of code; parallel; gem5 integration
Garnet – part of GEMS (discontinued) and gem5, 2008, Princeton C++
OcinTsim – DVFS Aware Simulator, 2009, TAMU C++ Includes modified Orion 2.0
NOXIM, 2005-2010, University of Catania SystemC Topo: 2D mesh; Traffic: random, transpose, etc.;
Nostrum, 2002-2006, KTH – Royal Institute of Technology SystemC
NIRGAM, 2007, University of Southampton SystemC Topo: 2D mesh, torus; Routing: XY, adaptive OE, source routing;
OCCM, 2005, ST Microelectronics, AST Grenoble Lab SystemC
NOCSIM, 2002-2006, TAMU SystemC
NocTweak, 2013, UC Davis SystemC Supported benchmarks: synthetic, real/embedded applications, multithread traces;
ATLAS, 2011, PUCRS, Brazil Java
gpNOCsim, 2006, Bangladesh University of Engineering and Technology (BUET) Java
Xmulator,
2009-present, Sharif University of Technology
C# Download also from here but only older versions
NOCulator,
2014-present, Carnegie Mellon University
C# Networks (mesh, torus, ring, hierarchical ring, flattened butterfly) and routers (buffered, bufferless, Adaptive Flow Control, minBD, HiRD)

In addition, here is a list of NoC simulators or NoC related tools whose source code is not publicly available. Only executables are offered for grabs. 🙂

Simulator Lang. Characteristics
COSI, 2008, UC Berkeley SystemC

2. Optical/Photonic NoC simulators

Simulator Lang. Characteristics
PhoenixSim, 2011, Columbia C++ Topo: 2D mesh, torus, etc.; Built on top of OMNet++

3. Wireless NoC simulators

4. 3D NoC simulators

Simulator Lang. Characteristics
Access Noxim, 2013, National Taiwan University C++ Built on top of Noxim; integrates HotSpot

5. NoC + Multicore simulators

Simulator Lang. Characteristics
GEM5, 2011, Academic and industrial institutions, including AMD, ARM, HP, MIPS, Princeton, MIT, and the Universities of Michigan, Texas, and Wisconsin C++ merger of M5 and GEMS
Graphite Multicore Simulator, 2011, MIT C++ Topo: 2D mesh
Sniper, 2015, Universiteit Gent. C++ Sniper is a next generation parallel, high-speed and accurate x86 simulator. Built on top of Graphite of MIT.
Hornet cycle-level multicore simulator, 2011, MIT C++ multithreaded
FusionSim simulator, 2012, University of Toronto C++ CPU, GPU, NoC (derivative of Booksim)
ESESC simulator, 2013, UCSC C++ heterogeneous multicores

6. NoC mapping algorithms

Mapping tool Lang. Characteristics
NoCMap, 2004, CMU C++ branch-and-bound; energy, performance aware
ReliableNoC, 2011, University at Buffalo C++ built on top of NoCMap; energy, reliability aware
MapoNoC, 2012, Università della Svizzera Italiana C++ KPN application model; reliability aware

7. Other NoC CAD tools

Tool Characteristics
Atlas An environment to automate the design flow for NoCs of the GAPH Group.
Hemps A fully functional MPSoC architecture with multiple MIPS-I processors.
Netrace A set of tools and traces designed to enhance the performance and fidelity of traditional trace-based network-on-chip simulation. UTexas.
PreMaDona Generate simulation models from XML specifications of NoC-based MPSoC systems. Eindhoven University of Technology.

8. Benchmarks
MCSL: multi-constraint system-level, Hong Kong
Trace monitor and traffic generator, Tampere University of Technology, Finland

9. Other related and useful tools

Tool Characteristics
McPAT McPAT (Multicore Power, Area, and Timing) is an integrated power, area, and timing modeling framework for multithreaded, multicore, and manycore architectures.
Orion Power-performance simulator for on-chip interconnection networks
Wattch CPU power consumption
HotSpot High-performance thermal modeling
NS-2 The network simulator
NS-3 The new network simulator
OMNet++ Simulation library and framework
NETSIM on top of YACSIM
Download also from here
Rice Parallel Processing Testbed: a family of discrete-event simulators; C programming; 1993

10. Additional resources
SimulationTools.bib
WWW Computer Architecture Page

This entry was posted in Simulators, tools. Bookmark the permalink.

73 Responses to Simulators

  1. Filippo says:

    Thank you, very useful list.

    Do you know any tool that generates synthesizable HDL code (e.g. like Atlas) ?

    Regards.

  2. suman says:

    how fat tree , b- cube and torous topologies helps in increasing energy efficiency and reduced power consumption with the help of gpnocism simulator.

  3. Edwin Tufiño says:

    Thank you for youre recopilation, which one is the most used simulator (NoC)???

    • fargoscape says:

      Edwin,
      That’s a very good question. I do not know the answer. We see a lot of papers reporting results based on “in-house” NoC simulators – many of which are adaptations of some of the free simulators listed here. Still, it would be interesting to try to “compile” a top-5 most used NoC simulators…
      Cris.

      • Edwin says:

        That´s true, because in any paper for the benchmark that they do, they specificate a simulator, i will think that the most used for the benchmarking is opnet.
        But I have and other question wich one is the most complete (in all aspects) simulator (open-source)?? I will say NIRGAM and NOXIM what you think??

    • fargoscape says:

      It appears that Noxim and Booksim win the race 🙂

      Top 5 most popular NoC simulators

  4. Ahmed says:

    Hi all,

    could you please have a look here, I think it could be helpful.
    http://scholarlyexchange.org/ojs/index.php/IJRRCS/article/view/8207

  5. ali says:

    hi! nice work .
    i want to simulate noc by keeping different sizes of input buffers of the routers in a single simulation . can someone help me?

    • fargoscape says:

      Ali,
      you can help yourself by selecting an NoC simulator and modifying its source code to do exactly what you wanted; it should be straightforward to make changes such that input buffer sizes are what you want.
      cris.

  6. Amin says:

    Hi, thank you for your fantastic gathering. Isn’t any simulator available for Wireless NoC?

  7. zeekhan says:

    I need NOC mapping simulator for energy minimization.plz anyone that can help me. thanks

  8. Vinu says:

    Hi,
    I am looking for the download file for PheonixSim (as per their install guide) but could not find it on their website. Any help would be appreciated.

    Thanks

    • Vinu says:

      Yes, I contacted the group behind PheonixSim and they said that it is undergoing drastic changes before version 2 is released and they recommended me to use MIT-DSENT in the meantime. However, when I asked them if I can get the original code, I did not get any reply.

  9. zeekhan says:

    I have downloaded nocmap1.2, but it gives errors when I run the makefile on Ubuntu g++ compiler. I need help to run the simulator.

  10. Parnian Mk says:

    Hi, I have a network with 400,000 nodes. How do I choose which simulator is best?

  11. anmol says:

    hi. I am unable to run noxim_explorer with traffic type shuffle and butterfly. I get the following error Output file ./.noxim_explorer.tmp corrupted
    when the traffic is changed to random or transpose1 in the sim.cfg, noxim_explorer works fine.

    • deepthi says:

      Hi anmol,

      even i am facing similar error,
      Error: Output file ./.noxim_explorer.tmp corrupted
      were you able to get rid of this error..??
      can you please sare with me if you have any update on the same

      Thanks and Best Regards,
      Deepthi

  12. anmol says:

    hi. I am unable to run noxim_explorer with traffic type shuffle and butterfly. I get the following error Output file ./.noxim_explorer.tmp corrupted
    when the traffic is changed to random or transpose1 in the sim.cfg, noxim_explorer works fine.

  13. Guys,
    Thanks a lot for such an article, although it’s 2 years old but still great,
    A question: is there any Open-source simulator of those claimed to simulate up to 1000-core but it is offer a full-system simulation means to run any unmodified OS on it so just to port the OS to the simulator and then have it running over 1000-cores?
    I have been looking for such a thing but seriously I can’t find a good answer until now?

    Cheers,
    Abdo

  14. laure says:

    Hi, I want to know if someone has an idea about noctweak simulator?Is it easy to manipulate it and can we have some modications or addition to the code, for example if we want to add a new type of scheduler?
    Thank you for you response.

  15. Babak Aghaei says:

    which NoC simulator is best for NoC testing strategies?

    • It would depend on a what you want to test and what a specific simulator supports. For example, if your aim is to learn the basics and start out on a NoC learning curve, then I would recommend Noxim or Booksim. If you wish to do something more complicated, then you should look out for something like garnet/hornet etc where some modifications might be needed. There is no one simulator that can be considered as a complete solution or a standard. So, you be your own judge.

      • Babak Aghaei says:

        Dear mohan
        thank alot for your kindly reply.
        my interesting field is testing and fault tolerant of NoC. so, now i want start studies.
        my major aim is increasing fault tolerent of NoC, If I could find a simulator.
        plz if possible guide me!
        Is there simulator that evaluates noc reliability?
        Is there simulator that evaluates noc fault tolerant?
        Is there simulator that evaluates noc testability?

  16. Ramesh says:

    which noc simulator is better for performance evalaution of different noc topologies on the basis of throughput,power,area,delay parameters and application mapping is also possible on that.
    plz suggest the simulator for this asap.
    thanks

    • babak says:

      I think you must experiment of nocTweak simulator, this is very good for area evaluation and other your favorite parameters.

  17. Ramesh says:

    Babak, Thanks a lot ,
    Is there any possibility to do the same performance evaluation writing code in vhdl,verilog and implement it on any FPGA Board .Please reply soon.
    Thanks

    • Babak says:

      hi
      i dont think vhdl and verilog language have any component that evaluate perfomance, but you can do this with other tools like quartus and ISE xilinx and so on

      • Ramesh says:

        Thanks for reply , U said s quite ok but how to proceed for that any references any guidance,as if we use quartus or xilinx ISE then HDL(Verilog/VHDL) must.

      • Ramesh says:

        Plz guide me regarding noctweak simulator how to install it and run it .any help
        reply soon

  18. jiumeilema says:

    Hi all:
    I need a 3D noc simulator for mapping Algorithms, Which NoC simulator is best.

  19. maverick says:

    Any wireless NoC simulator available???

    • Hemanta Kumar Mondal says:

      In case of wireless emerging interconnects, you have to modify the wired NoC simulator as per your WNoC topology. You should change the source code carefully. If you want full system simulation with wireless interconnect, You can use Topaz instead of Garnet (Stop updating some time back), Gem5 and Ruby network tester. Integration of these tools are already available in https://code.google.com/p/tpzsimul /wiki/GEM5Integration#Step_3:_Use_TOPAZ_within_GEM5_Ruby_Network_tester

      Whatever you want to add like WNoC topology, routing or modify, do with topaz then run the make file. Then you can able to see the expected results in gem5/ruby.stats file.

      I think this may help you.

  20. Johna651 says:

    I like this weblog so considerably, saved to my bookmarks.

  21. Nada says:

    Dear Babak, have you get an answer on your question about fault detection?

  22. Meenal says:

    Hi All,

    I wish to use Orion 3 simulator. I have downloaded it from their site. Now, could you guide me how to install it??
    Thanking you in anticipation.
    Meenal

  23. ramesh says:

    Hi,
    Is FPGA implementation is possible for torus and other noc architectures and also run on fpga then
    how it is implemented and demonstrated after run.
    kindly guide in details.
    Thanks for anticipation

  24. mostafa says:

    Hi all. I need a guide for booksim installation & setup file
    please help me 🙂

    • Fiona Song says:

      Hello mostafa, did u install and build the booksim successfully? I am a new one of booksim2.0 and i also met some problems building it. It said i should modify the makefile to give the tool the right path, i did modify the first two lines, and when i make it there was no errors. But when i run ./booksim [configfile], it said could not open configuration file. I don’t know what was wrong. Maybe i modify the makefile in a wrong way? So do u know how to build the simulator in the right way?

      Thanks.

  25. B.abdelwaheb says:

    Hello guys,
    Is there any simulator that implements a metaheuritic algorithm like Ant colony optimization ACO, POS …etc. Or i you have any resources about implementing ACO based algorithm on networks on chip.

  26. deepika says:

    hi
    can u tell me the best way to synthesis and simulate photonic noc
    guide me the details
    if it may, is implementation possible?
    thanks for anticipation

  27. Akram says:

    Hello guys , I need a NoC simulator that allows me to manipulate both topology and application mapping ….. Any suggestion!

  28. Yang says:

    Hello everyone.
    My major has just turned on the network interface.Anyone know any simulator for network interface??

  29. kathiravan says:

    which simulation tool is best for evaluation of latency in on chip network

  30. chenna says:

    I designed a router using VHDL with objective of high relaibility and less cross talk, to test this ,is any network simulator available , if yes please give me a details

  31. priya says:

    can anybody help me getting into network on chip.i need a jumpstart

    • SKhan says:

      Start your work by studying some survey papers about NoC. Then choose any research area about NoC of your interest.

  32. F. A. Faisal says:

    Dears,
    I would like to evaluate the off-chip power consumption for 3D-Torus/5D-Torus network. Can any one help me with any simulator to do the off-chip power analysis.
    Thanks….

  33. Muhammad says:

    I am having problem in installing access noxim on Ubunto 14.0.2 LTS. Please help me in installation.

  34. Kumar says:

    Dear Sir, Thanks for your complete information.
    My question: How to measure the Power of NoC(Booksim) by McPAT. It is mentioned in literature that run time statistics of Booksim should be passed to McPAT. Would you specify what run time statistics should be taken from booksim simulation and pass it to McPAT for measuring the power. Please provide me any other information regarding measuring the power of Noc by using McPAT.

  35. Ashish Sharma says:

    Any one using booksim power trace for thermal hotspot

  36. pakhi says:

    Which one will be a good simulator for different topology and routing research?

  37. mattmurdock15 says:

    Can any help in the installation and working of hemps?

  38. Babak Aghaei says:

    dear developers
    Is there a simulator that has OCP-based Network Interface? I want to modify this NI.
    Tnx

  39. Ayub Azam says:

    Hi. I need help in NOCTweak Simulator. Is there any paper published in the general on NOCTweak?

  40. Ramesh sambangi says:

    Hi all,
    How to specify various files (Network file, mapping file, Traffic file) with respect to a particular application like VOPD in BookSIM.
    How to generate a traffic file for a given communication graph like VOPD

  41. Abhishek Kumar says:

    HI, I have been trying to use NOCulator as I am working on bufferless NOCs, but I am not able to run the simulation, the documentation isn’t good, can you suggest my any sources or some examples how to run a simulation, any example or any help will be appreciated

Leave a reply to Muhammad Cancel reply